愛伊米

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

摘要:當地時間3月23日, 美國消費者新聞與商業頻道(CNBC)釋出了針對全球光刻機龍頭ASML的採訪影片,不僅展示了ASML的EUV光刻機工廠,還展示了ASML新一代高數值孔徑 (High-NA) EUV光刻機EXE:5000系列。

當地時間3月23日, 美國消費者新聞與商業頻道(CNBC)釋出了針對全球光刻機龍頭ASML的採訪影片,不僅展示了ASML的EUV光刻機工廠,還展示了ASML新一代高數值孔徑 (High-NA) EUV光刻機EXE:5000系列。

一、光刻機為何如此重要?

近年來,隨著全球數字化、智慧化程序的加速,全球對於半導體的需求呈現快速增長的態勢。根據半導體研究機構IC Insights 預計,2021年全球半導體產值躍升至6140億美元,同比大漲25%。2022年全球半導體產值有望達6806億美元規模,同比將增長11%,創歷史新高紀錄。

面對旺盛的半導體需求,目前全球的主要的半導體制造商也在紛紛擴大產能,由此也推升了對於半導體制造裝置需求增長。根據SEMI的預測資料顯示,預計2021年原始裝置製造商的半導體制造裝置全球銷售總額將達到1030億美元的新高,比2020年的710億美元的歷史記錄增長44。7%。預計2022年全球半導體制造裝置市場總額將擴大到1140億美元。

半導體制造裝置可以分為前道裝置和後道裝置。其中,前道製造裝置主要包括光刻機、塗膠顯影裝置、刻蝕機、去膠機、薄膜沉積裝置、清洗機、CMP裝置、離子注入機、熱處理裝置、量測裝置;後道製造裝置主要包括減薄機、劃片機、裝片機、引線鍵合機、測試機、分選機、探針臺等。

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

有統計資料顯示,光刻工藝是晶圓製造過程中佔用時間比最大的步驟,約佔晶圓製造總時長的40%-50%。可以說,如果沒有光刻機,晶片便無法制造。

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

如果以各類晶圓製造裝置在產線當中的投資額佔比來看,光刻機也是目前晶圓製造產線中成本最高的半導體裝置,約佔晶圓生產線裝置總成本的27%。

目前能夠製造7nm以下先進製程的EUV光刻機,一臺售價約2億美元,只有ASML一家能夠供應,且產能有限。而可以製造2nm先進製程的ASML的新一代高數值孔徑 (High-NA) EUV光刻機EXE:5500的售價將更是高達3億美元。

二、光刻機市場的霸主是如何煉成的?

1、誕生

ASML的前身是荷蘭電子巨頭飛利浦的光刻裝置研發部門,曾在1973年成功研發出了新型光刻裝置(PAS2000的原型),在整體效能研發方面取得一定成功,但由於成本高昂,且存在一系列技術問題,未能最終推出。同時,由於其他裝置商在解決接觸式光刻機的缺陷問題上用不同的技術路徑取得了突破,飛利浦一度計劃要關停光刻裝置研發部門。不過,隨後另一家半導體裝置廠商ASMI希望與飛利浦合作開發生產光刻機,於是在1984年,雙方分別出資約210萬美元成立了ASML。

自2013年起擔任ASML的執行長的彼得·溫寧克(Peter Wennink),雖然早在1999年就加入了ASML,但那已是在ASML成立的15年後。而在1984年成立之時,ASML當時還是在荷蘭埃因霍溫飛利浦辦公樓旁的一個漏水的棚屋裡進行研究。

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

△ASML最初的辦公地點

“那時ASML經濟困難,沒有資金,我們很窮。因為飛利浦公司太大了,沒有人看重這個小公司,他們試圖做一些瘋狂的事情,所以他們忽略了我們。”溫寧克說到。

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

△彼得·溫寧克(Peter Wennink)

在當時的光刻機市場,ASML也還只是一個“無名小卒”。資料顯示,當時市場主要被美國GCA和日本的尼康所佔據,二者分別佔據了約30%的市場,Ultratech佔比約10%,剩下的市場則被Eaton、P&E、佳能、日立等廠商瓜分,不過他們的份額均不到5%。

2、發展

儘管如此,在成立的第一年,ASML成功地推出了首款步進式光刻機PAS2000(基於1973年推出光刻裝置的進一步完善,飛利浦最初的210萬美注資中有180萬美元就是用尚未研發完成的PAS2000充當的)。不過,PAS2000採用的是油壓驅動,技術落後於當時的同行。

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

△PAS2000

1986年,ASML改進了對準系統,推出了PAS2500/10步進型光刻機,同時與德國鏡頭製造商卡爾蔡司(Carl Zeiss)建立了穩定的合作關係。

1988年,ASML跟隨飛利浦在臺灣的合資流片工廠臺積電開拓了亞洲業務,彼時,剛剛成立不久的臺積電為ASML帶來了急需的17臺光刻機訂單,使得ASML的國際化拓展初見成功。與臺積電的深度合作,也為此後ASML的高速發展奠定了基礎。

當時,ASML在美國有五個辦事處,共有84名員工,並在荷蘭維爾多芬(Veldhoven)設立了一個新的據點,最終成為該公司的總部。

1990年左右,ASML推出PAS5500系列光刻機,這一設計超前的8英寸光刻機,其採用了模組化設計的光刻系統,可以在同一平臺上生產多代先進IC。該平臺的完全模組化設計使晶片製造商能夠隨著技術需求的增加升級系統,並具有業界領先的生產效率和精度,成為了ASML當時扭轉局勢的重要產品。

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

△PAS5500

PAS5500不僅為ASML帶來臺積電、三星和現代等關鍵客戶,憑藉PAS5500的優勢持續獲得客戶的認可,也為ASML帶來了市佔率的持續提升和豐厚的盈利。到1994年時,ASML在全球光刻機市場的市佔率已經提升至18%。

1995年,ASML分別在阿姆斯特丹及紐約納斯達克上市。ASML利用上市募集的資金開始進一步加大研發投入並擴大生產規模,擴建了位於荷蘭埃因霍溫的廠房,現已成為ASML的總部。

3、超越

如果說PAS5500的成功,讓ASML成功在光刻機市場有了重要的一席之地,那麼ASML在浸沒式光刻技術上的成功,則一舉擊敗尼康等頭部光刻機廠商,成為全球光刻機市場的龍頭老大。

在2000年之前,光刻裝置中一直採用的是乾式光刻技術,雖然鏡頭和光源等一直在改進,但始終難以將光刻光源的193nm(DUV,深紫外光)波長縮短到157nm,從而進一步提升光刻機的解析度。直到2002年,時任臺積電研發副總的林本堅博士提出了一個簡單解決辦法:放棄突破157nm,退回到技術成熟的193nm,把透鏡和矽片之間的介質從空氣換成水,由於水對193nm光的折射率高達1。44,那麼波長可縮短為193/1。44=134nm,從而可以大幅提升光刻解析度。

從以下公式可以看到,光刻解析度(R)主要由三個因數決定,分別是光的波長(λ)、鏡頭半孔徑角的正弦值(sinθ)、折射率(n)以及係數k1有關。

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

在光源波長及k1不變的情況下,要想提升解析度,則需要提升n或者sinθ值。由於sinθ與鏡頭有關,提升需要很大的成本,目前sinθ已經提升到0。93,已很難再提升,而且其不可能大於1。所以提升n就顯得更為現實。

因此,在原有的193nm光刻機系統當中增加浸沒單元,利用超純水替換透鏡和晶圓表面之間的空氣間隙(水在193nm波長時的折射率n=1。44,空氣為1),使得光源進入後波長縮短,從而提升光刻解析度。

基於與臺積電的長期深度合作,以及希望透過彎道超車來對尼康等走乾式光刻技術路線的頭部光機廠商的趕超,ASML當時選擇了與臺積電合作,走浸沒式光刻路線,在2003年開發出了首臺浸沒式光刻機樣機TWINSCAN AT:1150i,成功將90nm製程提升到65nm。2006年,ASML首臺量產的浸入式裝置TWINSCAN XT:1700i釋出。2007年,AMSL又推出了首個193nm的浸沒式系統TWINSCAN XT:1900i。

相對於走乾式157nm光刻機路線進行迭代研發的尼康等廠商來說,ASML 193nm浸沒式光刻機由於是基於原有的成熟的平臺進行改進,不僅成本更低、最佳化升級更迅速,而且精度更高,良率也更高,受到了客戶的普遍歡迎。這也使得ASML透過浸沒式光刻機成功實現了技術及市場的雙重領先。雖然尼康後期也開始轉向浸沒式光刻系統,但是由於時間進度上的大幅落後,也導致了其難以在浸沒式光刻系統上實現對ASML的追趕,此後開始迅速走向沒落。

4、稱霸

使用193nm ArF光源的乾式光刻,其可以生產的半導體工藝節點可達45/40nm,而進一步採用浸沒式光刻、配合比較激進的可製造性設計(DfM)等技術後,可以生產28nm工藝節點的晶片。而要在193nm浸沒式光刻的基礎上,進入到更高階製程,就必須採用多重曝光,但其半導體工藝製程也只能達到7nm左右的極限。

雖然193nm浸沒式光刻技術解決了此前乾式光刻技術面臨的光刻光源的波長難以進一步縮短的問題,但是隨著工藝製程的繼續推進,要想繼續提升光刻解析度,如果不能進一步縮短光源波長,就必須採用多重曝光,

然而使用多重曝光會帶來兩大新問題:一是光刻加掩膜的成本上升,而且影響良率,多一次工藝步驟就是多一次良率的降低;二是工藝的迴圈週期延長,因為多重曝光不但增加曝光次數,而且增加刻蝕(ETCH)和機械研磨(CMP)工藝次數等。同時,即便採用了多重曝光,對於193nm浸沒式光刻機來說,製造7nm工藝節點的晶片也已經是極限。

所以,如果要推動半導體制程繼續往5nm及以下走,最為直接的方法就是採用新的波長為13。5nm的EUV(極紫外光)作為曝光光源(僅是193nm的1/14),不僅可以使得光刻的解析度大幅提升,同時也不再需要多重曝光,一次就能曝出想要的精細圖形,而且也不需要浸沒系統,沒有超純水和晶圓接觸,在產品生產週期、OPC的複雜程度、工藝控制、良率等方面的優勢明顯。

得益於透過193nm浸沒式光刻機系統在市場大獲成功,成為全球領先光刻機廠商之後,ASML很快又投入了全新的EUV光刻機的研發。

2010年,ASML首次發售概念性的EUV光刻系統NXW:3100,從而開啟EUV光刻系統的新時代。但是EUV光刻機的研發不僅耗資巨大,即使研發成功,其單價也是高的驚人(單臺售價超過1億美元),僅有少數晶圓製造商能夠負擔的起(目前全球也僅有5家廠商在用EUV光刻機),主要給ASML帶來了巨大的壓力。

為了繼續推動EUV光刻系統的研發,2012年ASML提出“客戶聯合投資專案”(Customer Co-Investment Program), 獲得其主要客戶英特爾、臺積電、三星這三大全球晶圓製造巨頭的支援,ASML以23%的股權從這三家客戶那裡共籌得53億歐元資金,以投入EUV光刻系統的研發和量產。

2013年,ASML發售第二代EUV系統NXE:3300B,但是精度與效率不具備10nm以下製程的生產效益;2015年ASML又推出第三代EUV系統NXE:3350。2016年,第一批面向製造的EUV系統NXE:3400B開始批次發售,NXE:3400B的光學與機電系統的技術有所突破,極紫外光源的波長縮短至13nm,每小時處理晶圓125片,或每天可1500片;連續4周的平均生產良率可達80%,兼具高生產率與高精度。2019年推出的NXE:3400C更是將產能提高到每小時處理晶圓175片。目前,ASML在售的EUV光刻機包括NXE:3300B、NXE:3400C兩種機型。

據ASML介紹,對於EUV光刻機的研發,ASML總計花了90億美元的研發投入和17年的研究,才最終獲得了成功。

憑藉著英特爾、臺積電、三星著三大頭部客戶的強力支援,再加上ASML自身在EUV光刻領域的持續研發投入,以及在EUV光刻裝置上游的關鍵器件和技術領域的多筆收購及投資佈局,使得ASML多年來一直是全球EUV光刻機市場的唯一供應商。

1997年,英特爾牽頭創辦了EUV LLC聯盟,隨後ASML作為唯一的光刻裝置生產商加入聯盟,共享研究成果。

1999年6月,ASML收購MicroUnity Systems Engineering Inc。 業務部JMaskTools,使得公司在先進技術節點方面可以提供最完整的解決方案,改善了公司光刻機的掃描和成像能力,顯著增加了聚集深度,擴大了光刻視窗,提高了晶片產量。

2001年5月完成對Silicon Valley Group,Inc。 (SVG) 的收購,獲得了投影掩罩瞄準技術、掃描技術,極大的提升了公司產品的技術,並在美國擁有了研發生產基地。

2007年3月,ASML完成了收購光刻解決方案提供商Brion Technologies, Brion的計算光刻技術(設計驗證,解析度增強技術RET以及光學鄰近效應修正OPC)能使半導體制造商得以對製作出的積體電路圖形進行模擬,並可更正掩模圖形,從而最佳化製造工藝,提高成品率。

2013年5月30日,ASML以25億美元完成了對美國準分子光源提供商Cymer公司的收購,為ASML量產EUV光刻系統起決定性作用。

不過,需要指出的是,美國政府同意ASML收購Cymer是有條件的,ASML需同意在美國建立一所工廠和一個研發中心,以此滿足所有美國本土的產能需求。同時,ASML還需要保證Cymer的產品的55%的零部件均從美國供應商處採購,並接受定期審查。這也為美國後續阻撓ASML對中國大陸出口EUV光刻機埋下了伏筆。

2016年11月5日,AMSL收購了卡爾蔡司半導體制造技術公司(Carl Zeiss SMT)的24。9%股權,以強化雙方在半導體微影技術方面的合作,研發下一代Hig NA EUV光刻系統。

2016年11月22日,ASML完成對漢微科Hermes Microvision收購,以強化對半導體制造商的高科技服務。

以上這些收購和投資,使得ASML幾乎控制了整個EUV光刻機上游的關鍵環節,為其長期獨霸EUV光刻機市場奠定了堅實的基礎。憑藉著在浸沒式光刻機及EUV光刻機市場的成功,ASML最終成為了全球光刻機市場的絕對霸主。

根據統計資料顯示,2020年全球半導體光刻機總銷量約413臺,銷售額約130億美元,其中用於晶圓製造的基本均為ASML、尼康和佳能三家公司的產品。如果以銷量來看,ASML銷售258臺佔比62%(其中EUV光刻機出貨量已經達到 31臺),佳能銷售122臺佔比30%,尼康銷售33臺佔比8%;如果以銷售額來看,ASML的份額高達近90%。

三、ASML EUV光刻機工廠揭秘

CNBC在本月初的時候參觀了ASML位於荷蘭維爾多芬(Veldhoven)總部的EUV光刻機工廠。據介紹,該工廠佔地約50000平方米,共有1500名員工,他們正在7×24小時輪班工作,ASML銷售到全球各地的EUV光刻機均由該工廠進行總裝生產。

據ASML介紹整部EUV光刻機是由“照明光學模組”(Illuminator)、“投影光學模組”(Projection optics)、“光罩傳輸模組”(Reticle Handler)、“光罩平臺模組”(Reticle Stage)、“晶圓傳送模組”(Wafer Handler)、“晶圓平臺模組”(Wafer Stage)及“光源模組”(Soure)這七大模組組成。

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

△ASML EUV光刻機七大模組

其中,EUV光源被稱為鐳射等離子體光源,是透過30千瓦功率的二氧化碳鐳射器每秒2次轟擊霧化的錫(Sn)金屬液滴(錫金屬液滴以每秒50000滴的速度從噴嘴內噴出),將它們蒸發成等離子體,透過高價錫離子能級間的躍遷獲得13。5nm波長的EUV光線。

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

△EUV鐳射系統

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

△錫金屬液滴以每秒50000滴的速度從噴嘴內噴出,透過二氧化碳鐳射器鐳射進行轟擊,產生EUV光線

由於EUV光線波長非常短,所以它們會很容易被空氣吸收,所以整個EUV光源的工作環境需要被抽成真空。同時,EUV光線也無法被玻璃透鏡折射,必須以矽與鉬製成的特殊鍍膜反射鏡,來修正光的前進方向,而且每一次反射仍會損失不少的能量,導致最終到達晶圓的光子只有原來的約5%左右(ASML公佈的最新資料)。

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

為此,ASML與德國光學公司蔡司(Zeiss)合作,由該公司來生產世界上最平坦的鏡面,以使得EUV光線經過多次反射後能夠精準的投射到晶圓上。

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

△蔡司的反射鏡

據ASML EUV工廠工程總監Mike LaBelle介紹,EUV光刻系統當中的蔡司的反射鏡的平整度是令人難以置信的。“如果將這個反射鏡放大到我們所在國家的大小,那麼EUV光線最大的撞擊在這個國家大小的鏡面上的只有大約一毫米。”

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

△ASML EUV工廠工程總監Mike LaBelle

臺積電也曾表示,“目標必須非常精確,這相當於從月球發射EUV鐳射,擊中地球上的一枚硬幣。”

此外,CNBC還參觀了位於美國聖地亞哥的ASML生產光源的子公司Cymer的潔淨室。

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

據ASML製造業務高階經理Pete Mayol介紹,他負責這個生產EUV光源的潔淨室已經六年了。下圖中的裝置上部就是儲存“錫”的地方,下方則是噴射“錫滴”的噴嘴。

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

△ASML製造業務高階經理Pete Mayol

“如果任何一種有缺陷的顆粒出現,甚至出現在毛細管的頂端,那就意味著失敗。我們將移除並重新開始。”Pete Mayol說到。

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

△噴射“錫滴”的噴嘴

四、龐大的供應鏈體系與共生關係

ASML公佈資料顯示,一部EUV光刻機的長度超過10公尺、高度達2層樓的EUV,每臺有超過10萬個零件,加上3000條線纜、4萬個螺栓及2公里長的軟管等零元件,最大重量達180噸。其中的7大模組,每個模組則是由ASML全球六個生產基地之一製造(涵蓋了全球60個工廠),然後運送到荷蘭Veldhoven進行測試總裝,然後再將其拆開裝運,需要20輛卡車或3架滿載的波音747飛機。

需要指出的是,ASML的EUV光刻機的10萬多個零件,涉及到來自超過40多個國家的5000多家供應商。機器內部結構和零部件極為複雜,對誤差和穩定性的要求極高,並且這些零件幾乎都是定製的,90%零件都採用的是世界上最先進技術,85%的零部件是和供應鏈共同研發,甚至一些介面都要工程師用高精度機械進行打磨,尺寸調整次數更可能高達百萬次以上。

雖然在DUV光刻機領域,除了ASML之外,還有尼康和佳能這兩家供應商可以選擇,但是在EUV光刻機領域,ASML一直是唯一的選擇。有專家表示,任何其他公司都可能需要幾十年的時間才能迎頭趕上,這不僅是因為ASML的專有技術,還因為它與近800家供應商達成了複雜的、往往是獨家的交易。

“我們對客戶來說是獨一無二的,就像我們的一些供應商對我們來說是獨一無二的一樣。有些人說,那些幾乎共生的關係比結婚更糟糕,因為你不能離婚。”溫寧克說到。

自2020年四季度以來,全球爆發了全面的“缺芯”危機,促使眾多的晶圓製造商開始積極的擴產以提升產能,而這些廠商大都需要採購ASML的光刻機。為此ASML也在努力的提升產能,但是ASML的供應商同樣也遇到了缺芯問題。

“我們收到了很多來自供應商的資訊,他們說,‘嘿,我們可能會延遲向你們交付模組,因為我們無法獲得晶片。’同樣對於我們來說,如果我們不能得到晶片,我們也就不能製造更多的機器來製造更多的晶片。所以這裡有一個陷阱。我們還在努力,祈禱好運。但這是一場持久的鬥爭。我認為ASML的產能未來會跟上需求,也許增長甚至會超過他們的目標,這是可能的。”

溫寧克進一步指出:“世界需要更多的晶片,所以我們需要製造更多的機器。雖然我們的機器的平均售價會持續增長,但是我們能夠持續降低單位電晶體的製造成本,這正是我們過去38年來一直在做的事情。在接下來的幾十年裡,我們將繼續這樣做。”

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

根據財報資料顯示,在2021年,ASML出售了42臺EUV機器,使其ASML光刻機的EUV光刻機總出貨量達到140臺左右。由於每臺機器的售價高達近2億美元,目前只有五家客戶有能力購買ASML的EUV系統,包括美光、SK Hynix、三星、英特爾和臺積電,而最後三家廠商佔ASML業務的近83。7%。

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

五、單價超3億美元,HigH NA EUV光刻機已售出四臺

由於EUV光刻系統中使用的極紫外光波長(13。5nm)相比DUV 浸入式光刻系統(193 nm)有著顯著降低,多圖案 DUV 步驟可以用單次曝光 EUV 步驟代替。可以幫助晶片製造商繼續向7nm及以下更先進製程工藝推進的同時,進一步提升效率和降低曝光成本。

自2017年ASML的第一臺量產的EUV光刻機正式推出以來,三星的7nm/5nm工藝,臺積電的第二代7nm工藝和5nm工藝的量產都是依賴於0。55 數值孔徑的EUV光刻機來進行生產。

目前,臺積電、三星、英特爾等頭部的晶圓製造廠商也正在大力投資更先進的3nm、2nm技術,以滿足高效能計算等先進晶片需求。而3/2nm工藝的實現則需要依賴於ASML新一代的高數值孔徑 (High-NA) EUV光刻機EXE:5000系列。

ASML目前正在開發當中的高數值孔徑 (High NA) EUV光刻機是基於 0。33 數值孔徑透鏡的 EUV 光刻系統的迭代產品,其具有 0。55 數值孔徑的鏡頭,解析度為 8 奈米,而現有的0。33 數值孔徑透鏡的 EUV 光刻系統的解析度為 13 奈米,使得晶片製造商能夠生產3/2nm及以下更先進製程的晶片,並且圖形曝光的成本更低、生產效率更高。但是,High NA EUV光刻系統造價相比前代的EUV光刻機也更高了,達到了3億美元。

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

在此次CNBC的採訪當中,ASML似乎也是首次公開展示了High NA EUV光刻系統EXE 5000。不過,ASML並未介紹更多的細節資訊。但,從外形來看,High NA EUV光刻系統要比前代的EUV光刻系統高度更高。

ASML EUV工廠探秘,HigH NA EUV光刻機首度公開亮相

△High NA EUV光刻系統EXE 5000

值得注意的是,ASML總裁兼CEO溫寧克透露,在2021年第四季度,ASML獲得的價值為70。50億歐元的新增訂單當中,0。35 NA EUV光刻系統和0。55 NA EUV光刻系統的訂單金額就達到了26億歐元。

溫寧克表示,ASML在2021年第四季度收到了一份TWINSCAN EXE:5000的訂單。自2018年以來,ASML已經收到四份TWINSCAN EXE:5000的訂單。

據瞭解,EXE:5000主要面向的是3nm工藝。而第二代的0。55 NA EUV光刻機TWINSCAN EXE:5200將會被用於2nm工藝的生產。

據溫寧克透露,在2022年初,ASML已收到了下一代的TWINSCAN EXE:5200的第一份訂單(來自英特爾),這標誌著ASML在引入 0。55 NA EUV光刻的道路上又邁出了一步。

根據ASML的路線圖,TWINSCAN EXE:5000將會在今年下半年出貨,每小時可生產185片晶圓。而TWINSCAN EXE:5200將會在2024年底出貨,每小時可生產超過220片晶圓。

編輯:芯智訊-浪客劍