愛伊米

2nm就靠它了!ASML加速研發新一代光刻機:更貴、更強

用於生產 2nm 晶片的 ASML 新款光刻機預計在 2025 年首次投入使用,對晶片廠商而言,“2nm 工藝戰”已經打響。

ASML 衝刺 0。55 NA EUV 光刻機

對於晶片廠商而言,要想發展先進製程,光刻機是關鍵裝置。而從工藝技術和製造成本綜合因素考量,EUV 光刻機(極紫外光刻)被普遍認為是 7nm 及以下工藝節點的最佳選擇。目前,在全球範圍內僅有荷蘭的 ASML 公司能供應 EUV 光刻機。

據介紹,ASML 的 EUV 光刻技術使用 13。5 nm 的波長(幾乎是 X 射線範圍),在微晶片上形成精細的線條。用於大批次製造,以建立先進的微晶片(7 nm、5 nm 和 3 nm 節點)高度複雜的基礎層,並支援新穎的電晶體設計和晶片架構。

日前,在 2022 SPIE 高階光刻會議上,ASML 介紹了 EUV 的最新進展。

根據 ASML 最新訊息,新款 EUV 光刻機正在研發中,NA 將從 0。33 增加到 0。55(NA 是光學系統的數值孔徑,表示光線的入射角度),2nm 工藝的晶片都將依賴其實現。

為什麼要衝刺高 NA EUV 光刻機?

光刻系統所能達到的解析度是光刻收縮的主要驅動因素之一,它主要由所用光的波長和光學系統的數值孔徑決定。更短的波長可以打印出更小的特徵;更大的數值孔徑可以更緊密地聚焦光線,也能夠帶來更好的解析度。

ASML 光刻系統的發展一直是透過減少波長和增加數值孔徑來進行演進。

目前,ASML 的主力產品是 0。33NA EUV 光刻機,並正在大批次生產中。對於 0。33 NA 系統,ASML 正致力於透過增加吞吐量和降低總能量來減少每次曝光所需的能量。

在發力 0。33 NA EUV 光刻機的同時,ASML 也在衝刺研發 0。55 NA EUV 光刻機。

2nm就靠它了!ASML加速研發新一代光刻機:更貴、更強

ASML 高 NA 系統路線圖

ASML 發言人向媒體介紹,更高的光刻解析度將允許晶片縮小 1。7 倍、同時密度增加 2。9 倍。未來比 3nm 更先進的工藝,將極度依賴高 NA EUV 光刻機。

ASML 執行長 Peter Wennink 表示:

在高 NA EUV 方面,我們取得了良好的進展,目前已經開始在我們位於維爾德霍芬的新無塵空間中打造第一個高 NA 光刻。在第一季度,我們收到了多份 EXE:5200 系統的訂單。我們這個月還收到額外的 EXE:5200 訂單。我們目前已有來自三個邏輯晶片和兩個儲存晶片客戶的高 NA 訂單。EXE:5200 是 ASML 的下一代高 NA 系統,將為光刻技術的效能和生產力提供下一步的發展。

ASML 表示,第一臺 0。55 NA EUV 光刻機原型試預計 2023 年交付,2025 年後量產,第一臺預計交付英特爾。

晶片廠商衝擊 2nm 工藝

根據 Gartner 分析師 Alan Priestley 的預測,0。55 NA EUV 光刻機單價將翻番到 3 億美元(約合 20 億元人民幣)。

即便如此,0。55 NA EUV 光刻機還是得到了晶片廠商的火熱預購。畢竟,這是研發 2nm 工藝的晶片的必選項。

在各大晶片廠商中,英特爾的速度最快。

今年 1 月 19 日,英特爾向 ASML 訂購了最新款高 NA EXE:5200 光刻機。英特爾稱,公司將成為 ASML 第一臺 EXE:5200 的買家。與 EXE:5000 相比,EXE:5200 預計將帶來幾項改進,包括更高的生產率等等。

在最新款高 NA EXE:5200 光刻機的訂購方面,臺積電、三星也有所動作。在今年 6 月 17 日舉行的技術研討會上,臺積電研發高階副總裁米玉傑表示:“展望未來,臺積電將在 2024 年引入高數值孔徑的極紫外(高 NA EUV)光刻機,為的是開發客戶所需相關基礎設施和模式解決方案,進一步推動創新。”

此外,根據臺積電早前訊息,公司今年資本支出為 400 億美元至 440 億美元,多數用於先進製程。

三星方面,據韓媒 Business Korea 報道,李在鎔 6 月 14 日造訪 ASML 荷蘭總部時,拜會了 ASML 執行長 Peter Wennink 等高管,廣泛討論半導體技術的未來、市場前景及 EUV 裝置的供應,並取得“額外”的 EUV 光刻機裝置。此次三星若能爭取到更多 EUV 光刻機,則今年至少可獲得 18 臺,不過三星未詳細說明此次獲得的“額外”EUV 裝置內容。

另據韓媒 Business Korea 報道,三星電子正計劃透過在未來三年內打造 2 奈米 GAA(Gate-all-around) 工藝來追趕臺積電。

隨著 0。55 NA EUV 光刻機量產提上日程,晶片廠商的“2nm 工藝戰”也將徹底打響。

ASML 共售出 136 臺 EUV 光刻機

在 2022 SPIE 高階光刻會議上,ASML 也披露了 EUV 光刻機的出售資料。

2nm就靠它了!ASML加速研發新一代光刻機:更貴、更強

資料顯示,截至 2022 年第一季度,ASML 已出貨 136 臺 EUV 光刻機,約 7000 萬個晶圓已曝光。

其中,有 9 臺 EUV 光刻機在今年一季度售出,與去年四季度售出 12 臺 EUV 光刻機相比,環比減少 25%。

資料顯示,ASML 去年共售出 42 臺 EUV 光刻機。根據 ASML 今年稍早前釋出的 2021 年第四季度和全年財報,ASML 去年的 EUV 光刻機銷售額為 63 億歐元(約合 447 億元人民幣)。平均每臺 EUV 光刻機售價在 1。5 億歐元左右(約合 10。6 億元人民幣)。

ASML 執行長 Peter Wennink 在對 2022 年業績做展望時表示,由於全球電子行業的發展,半導體市場將繼續迎來發展,在 2022 年全年,ASML 的營收預計將增長 20%,其中 EUV 光刻機預期將出貨 55 臺,收入 78 億歐元(約合 553 億人民幣)。