愛伊米

三星3奈米晶片成功流片 有望明年實現量產

據媒體報道,三星採用全環繞柵極架構(Gate-All-Around FET,GAA)的3納米制程技術已正式流片,效能上優於臺積電的鰭式場效應架構(FinFET)。

流片即tape-out,在晶片設計領域指試生產,當電路設計完成以後,像流水線一樣透過一系列工藝步驟製造晶片,以供測試之用。

報道稱,三星在3nm製程的流片進度是與新思科技(Synopsys)合作完成的。根據新思科技公告,其Synopsys Fusion設計平臺加速為GAA架構的生產流程提供高度最佳化參考方法,使其在功率和效能上均實現最大化。

製程技術的物理設計套件(PDK)已在2019年5月釋出,並2020年透過製程技術認證。媒體稱,預計此流程使三星3nm GAA結構製程技術用於高效能運算(HPC)、5G、行動和高階人工智慧(AI)應用晶片生產。

伴隨此次成功流片,三星3奈米晶片大規模量產或已臨近。

GAA FET:FinFET的繼任者

專家稱,相比臺積電或英特爾所採用的3nm FinFET架構,在技術性能上,GAA架構的電晶體能夠提供比FinFET更好的靜電特性,可滿足某些柵極寬度的需求。

對於場效應電晶體(Field Effect Transistor,FET)來說,

決定其效率的一個重要因素就是柵極對通道的控制能力。

自英特爾在22奈米節點上首次採用FinFET架構以來,過去十年,FinFET一直是半導體器件的主流架構。與最初的平面電晶體相比,與柵極三面接觸的“鰭”所形成的通道更容易控制。

圖片來源:Lam Research

但是,雖然“鰭”的三面均受柵極控制,仍然有一側未被接觸。

5奈米節點之後,隨著柵極長度縮短,短溝道效應越發明顯,FinFET結構已經很難滿足電晶體所需的電流驅動和靜電控制能力,

更多電流透過器件底部無接觸的部分洩露,漏電現象急劇惡化。

隨著半導體技術繼續發展,電晶體尺寸要進一步縮小,就必須找到新的解決方案。

基於此,GAA架構實現了柵極對通道之間的四面環繞,被廣泛認為是FinFET的繼任者。

據Nerissa Draeger博士,GAA架構以奈米薄片代替鰭片,不同於FinFET必須並排多個鰭片才能提高電流,GAA電晶體只需多垂直堆疊幾個奈米薄片並讓柵極包裹通道就能夠獲得更強的載流能力。

這使得同等尺寸結構下,

GAA

對通道控制能力強化,尺寸進一步微縮更具可能性。

圖片來源:Lam Research

隨著晶片技術進步,薄片的寬度和間隔也會不斷縮減,奈米薄片看起來會更像“奈米線”,則溝道整個外輪廓都將被柵極完全包裹,代表柵極對溝道的控制性更好。

角逐GAA舞臺

新型電晶體方案已經受到多家半導體廠商青睞。

在2019年的三星晶圓製造論壇上,三星就明確表示將會在3奈米節點放棄鰭式結構,轉向全環繞柵極技術。去年臺積電第26屆技術研討會上,臺積電也正式宣佈將在2奈米節點引入全環繞柵極技術。

而就在6月2日召開的2021年線上技術論壇上,

臺積電剛剛宣佈其

3

納米制程預計在

2022

年投入量產,

並表示到那時,3奈米將成為全球最先進的技術。

目前,三星和臺積電是全球唯二能做到

5

納米制程以下的半導體晶圓代工廠,此番共同角逐

GAA

舞臺,較勁意味濃厚。

三星代工設計技術團隊副總裁Sangyun Kim 表示,三星代工是推動下一階段產業創新的核心。三星將藉由不斷髮展技術製程,滿足專業和廣泛市場增長的需求。

新思科技數字設計部總經理ShankarKrishnamoorthy 也表示:

GAA 電晶體結構象徵著製程技術進步的關鍵轉折點,對保持下一波超大規模創新所需的策略至關重要。

新思科技與三星戰略合作支援提供一流技術和解決方案,確保發展趨勢延續,以及為半導體產業提供機會。

此外,英特爾雖仍然受困於7奈米技術,其首席技術官麥克邁克·梅伯裡博士也在今年的國際VLSI會議上稱,希望英特爾能在5年之內實現GAA電晶體的量產。